Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
MINIMIZING TIN OXIDE CHAMBER CLEAN TIME
Document Type and Number:
WIPO Patent Application WO/2022/226074
Kind Code:
A1
Abstract:
Techniques described herein relate to methods and apparatus for minimizing tin oxide chamber clean time. In many cases, the chamber is a deposition chamber used for depositing tin oxide on semiconductor substrates. The techniques involve exposing the chamber surface to a first plasma generated from a first plasma generation gas including reducing chemistry to reduce the tin oxide to tin, and then exposing the chamber surface to a second plasma generated from a second plasma generation gas including reducing chemistry and organic additive chemistry to remove the tin from the chamber surface. In some cases, the first plasma used to reduce the tin oxide to tin further includes inert gas.

Inventors:
CHANG CHING-YUN (US)
HA JEONGSEOK (US)
LIU PEI-CHI (US)
Application Number:
PCT/US2022/025553
Publication Date:
October 27, 2022
Filing Date:
April 20, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
C23C16/44; C23C16/40; H01J37/32
Domestic Patent References:
WO2020263757A12020-12-30
Foreign References:
US20200051807A12020-02-13
US20180012759A12018-01-11
US4750980A1988-06-14
JPH10303176A1998-11-13
Attorney, Agent or Firm:
TSAI, Patricia (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method of cleaning a chamber surface to remove tin oxide therefrom, the method comprising: exposing the chamber surface to a first plasma generated from a first plasma generation gas comprising a first reducing chemistry to reduce the tin oxide to tin; and exposing the chamber surface to a second plasma generated from a second plasma generation gas comprising a second reducing chemistry and organic additive chemistry to remove the tin from the chamber surface.

2. The method of claim 1, wherein the first plasma generation gas further comprises an inert gas.

3. The method of claim 2, wherein the inert gas comprises at least one gas selected from the group consisting of helium, neon, argon, xenon, and nitrogen (N2).

4. The method of claim 1, wherein a ratio of reducing chemistry: organic additive chemistry in the second plasma generation gas is about 0.01 to about 100.

5. The method of claim 1, wherein at least one of the first reducing chemistry or the second reducing chemistry comprises at least one species selected from the group consisting of elemental hydrogen (H2), ammonia (NTb), diborane (ELTk), sulfur dioxide (SO2), hydrazine (N2H4), diisobutylaluminum hydride ((i-Bu2AlH)2), formic acid (HCOOH), carbon monoxide (CO), a sulfite, an iodide, a phosphite, a hypophosphite, and a cyanide.

6. The method of claim 1, wherein the organic additive chemistry comprises at least one species selected from the group consisting of an alkane, an alcohol, a carboxylic acid, and an acetate.

7. The method of claim 5, wherein the first plasma generation gas is substantially free of

CH .

8. The method of claim 5, wherein the first plasma generation gas is substantially free of carbon-containing species.

9. The method of any of claims 1-8, wherein the first plasma and the second plasma are each capacitively coupled plasmas.

10. An apparatus for processing a substrate, the apparatus comprising: a processing chamber having a chamber surface on an interior of the processing chamber; an inlet to the processing chamber for introducing reactants to the processing chamber; an outlet to the processing chamber for removing species from the processing chamber; a plasma generator configured to provide plasma in the processing chamber; and a controller configured to cause: exposing the chamber surface to a first plasma generated from a first plasma generation gas comprising a first reducing chemistry to reduce tin oxide on the chamber surface to tin, and exposing the chamber surface to a second plasma generated from a second plasma generation gas comprising a second reducing chemistry and an organic additive chemistry to remove the tin from the chamber surface.

Description:
MINIMIZING TIN OXIDE CHAMBER CLEAN TIME

INCORPORATION BY REFERENCE

[0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes.

BACKGROUND

[0002] Fabrication of semiconductor devices involves a combination of various processes such as deposition, lithography, etching, etc. A number of different materials are used for several different purposes. One material that may be used is tin oxide.

[0003] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

SUMMARY

[0004] Various embodiments herein relate to methods, apparatus, and systems for cleaning a chamber surface to remove tin oxide therefrom. In many cases, the chamber surface is an interior surface of a deposition chamber used to deposit tin oxide on semiconductor substrates, though the embodiments are not so limited.

[0005] In one aspect of the disclosed embodiments, a method of cleaning a chamber surface to remove tin oxide therefrom is provided, the method including: exposing the chamber surface to a first plasma generated from a first plasma generation gas including a first reducing chemistry to reduce the tin oxide to tin powder; and exposing the chamber surface to a second plasma generated from a second plasma generation gas including a second reducing chemistry and organic additive chemistry to remove the tin powder from the chamber surface.

[0006] In certain embodiments, particular chemistries may be used. For instance, in various embodiments, the first reducing chemistry and/or the second reducing chemistry may include at least one species selected from the group consisting of elemental hydrogen (FE) and ammonia (NEE). In these or other embodiments, the organic additive chemistry may include at least one species selected from the group consisting of methane (CFE), ethane (C2FE), methanol (CFEOF1), and ethanol (C2H5OH). In many cases, the first plasma generation gas may be substantially free of CFE. Further, in various embodiments the first plasma generation gas is substantially free of carbon-containing species. In these or other embodiments, the first plasma generation gas may further include an inert gas. The inert gas may include at least one gas selected from the group consisting of helium, neon, argon, xenon, and nitrogen (N2). In some cases, the first plasma generation gas may have a composition that is about 5% to about 50% inert gas. In these or other embodiments, a ratio of reducing chemistry: organic additive chemistry in the second plasma generation gas may be about 0.01 to about 100. For instance, the ratio of reducing chemistry: organic additive chemistry in the second plasma generation gas may be about 0.5 to about 20.

[0007] In some cases, particular rates of conversion and/or removal may be achieved. In some embodiments, while the chamber surface is exposed to the first plasma, a rate of conversion of the tin oxide to the tin powder is at least about 60 A/min. In some such embodiments, the rate of conversion of the tin oxide to the tin powder is at least about 300 A/min. In these or other embodiments, over the course of exposing the chamber surface to the first and second plasmas, the tin oxide may be removed from the chamber surface at a rate of at least about 120 A/min. In some embodiments, while the chamber surface is exposed to the first plasma, a rate of conversion of the tin oxide to the tin powder does not vary by more than about 10% between different portions of the chamber surface.

[0008] In various embodiments, the first and second plasmas are each capacitively coupled plasmas. The chamber surface being cleaned may include a wall, ceiling, and/or floor of a deposition chamber used to deposit tin oxide on a semiconductor substrate.

[0009] In another aspect of the disclosed embodiments, an apparatus for processing a substrate is provided, the apparatus including: a processing chamber having a chamber surface on an interior of the processing chamber; an inlet to the processing chamber for introducing reactants to the processing chamber; an outlet to the processing chamber for removing species from the processing chamber; a plasma generator configured to provide plasma in the processing chamber; and a controller configured to cause: exposing the chamber surface to a first plasma generated from a first plasma generation gas including a first reducing chemistry to reduce tin oxide on the chamber surface to tin powder, and exposing the chamber surface to a second plasma generated from a second plasma generation gas including a second reducing chemistry and an organic additive chemistry to remove the tin powder from the chamber surface.

[0010] In various implementations, the plasma generator may be a capacitively coupled plasma generator. In these or other embodiments, the first reducing chemistry and/or the second reducing chemistry may include at least one species selected from the group consisting of elemental hydrogen (H2) and ammonia (NFb). In these or other embodiments, the organic additive chemistry may include at least one species selected from the group consisting of methane (CFU), ethane (C2H6), methanol (CH3OH), and ethanol (C2H5OH). In some embodiments, the first plasma generation gas is substantially free of carbon-containing species. In a number of embodiments, the first plasma generation gas further includes inert gas.

[0011] In some implementations, a ratio of reducing chemistry: organic additive chemistry in the second plasma generation gas may be about 0.5 to about 20. In these or other embodiments, the tin oxide may be converted to tin powder at a rate of at least about 60 A/min.

[0012] These and other aspects are described further below with reference to the drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

[0013] FIG. 1A is a flowchart describing a method of cleaning tin oxide from interior surfaces of a processing chamber.

[0014] FIG. IB depicts an interior surface of a processing chamber as it undergoes the cleaning steps described in FIG. 1A.

[0015] FIG. 2A is a flowchart describing a method of cleaning tin oxide from interior surfaces of a processing chamber according to various embodiments.

[0016] FIG. 2B illustrates an interior surface of a processing chamber as it undergoes the cleaning steps described in FIG. 2A.

[0017] FIG. 3 illustrates a process station according to certain embodiments.

[0018] FIGS. 4 and 5 depict multi-station processing apparatus according to certain embodiments.

[0019] FIGS. 6A-6D show experimental results of different cleaning methods.

[0020] FIG. 7 shows experimental results related to conversion of tin oxide to tin powder at different temperatures using different cleaning methods.

DETAILED DESCRIPTION

[0021] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

[0022] One material of importance in the fabrication of semiconductor devices is tin oxide. As used herein, tin oxide is intended to refer to stoichiometric and non-stoichiometric forms of Sn02. Additional elements such as hydrogen, carbon, and/or nitrogen may be present in the tin oxide in certain cases. In many cases, tin oxide is deposited through vapor-based techniques such as chemical vapor deposition, atomic layer deposition, physical vapor deposition, etc. Such deposition typically occurs in a processing chamber such as a deposition chamber. Example deposition chambers include, but are not limited to, those in the Striker ® product family and in the Vector ® product family, each available from Lam Research Corporation of Fremont, CA.

[0023] Over the course of deposition, tin oxide (and other materials, if present) can build up on the walls and other surfaces of the processing chamber. If not periodically removed, this material can cause substantial processing problems and associated low quality results. For example, once the material is sufficiently thick, it can begin to peel off the chamber walls and other surfaces, which is not desirable. Further, if the material is not adequately cleaned, it can lead to the formation of substantial particle defects on the substrates being processed in the chamber. Periodically cleaning the chamber helps avoid these problems. Moreover, periodic cleaning improves the life expectancy of the chamber, thereby minimizing capital costs over time.

[0024] Various embodiments herein relate to methods and apparatus for cleaning tin oxide from walls and other interior surfaces within a processing chamber. Many of the embodiments herein are presented in the context of cleaning a deposition reactor used to deposit tin oxide on one or more semiconductor substrates. However, the embodiments are not so limited. The techniques described herein may be used to remove tin oxide from walls and other interior surfaces of any type of processing chamber in which plasma can be provided.

[0025] FIG. 1 A presents a flowchart for a method of cleaning tin oxide from an interior surface of a processing chamber. The method of FIG. 1A is described with reference to FIG. IB, which shows chamber surface 150 coated with tin oxide 152 over the course of cleaning. In many cases, the tin oxide 152 has a thickness of about 2 pm on one or more chamber surface 150 before it is removed. In some cases, the tin oxide 152 may have a greater thickness, for example up to about 4 or 5 pm in certain embodiments. The method of FIG. 1A begins with operation 101, where the chamber surface 150 is exposed to plasma generated from hydrogen (¾) and methane (CH4). As shown in FIG. IB, this plasma exposure removes a portion of the tin oxide 152 from the chamber surface 150. However, while the tin oxide 152 is being removed, a layer of carbon polymer 154 is simultaneously formed thereon. After some time, the layer of carbon polymer 154 prevents removal of additional tin oxide 152.

[0026] Returning to FIG. 1A, at operation 103 a gas flush is performed to remove the H2 and CH4 from the chamber and from the delivery lines feeding the chamber. The gas flush may be accomplished by flowing one or more inert gas into the chamber, thereby flushing the relevant chemistry from the chamber. Alternatively or in addition, the chamber may be evacuated using a vacuum connection to remove the relevant chemistry from the chamber. Next, at operation 105 the chamber surface 150 is exposed to plasma generated from oxygen (O2), which reacts with and removes the carbon polymer 154, as shown in FIG. IB. At this point, the tin oxide 152 is thinner than it was at the beginning of the method.

[0027] At operation 107 it is determined whether there is additional tin oxide 152 to remove from the chamber surface 150. This determination may be made based on the time elapsed, the number of cycles elapsed, the thickness of material being removed, the thickness of material removed per cycle, etc. This determination may also be made based on metrology, for example based a measurement that provides information about the presence and/or thickness of material at a particular location within the chamber. In most cases, it takes several cycles (e.g., about 5-10 cycles) of the method shown in FIG. 1 A to adequately remove the tin oxide 152 from the chamber surface 150.

[0028] If it is determined in operation 107 that there is additional tin oxide to remove, the method continues with operation 109, where a second gas flush is performed to remove C from the chamber and the delivery lines feeding the chamber. The method then repeats, starting with operation 101. When it is determined in operation 107 that there is not additional tin oxide to remove, the method is complete.

[0029] Using the cleaning method described in relation to FIGS. 1A and IB, it takes about 17 hours to remove about 2 pm of tin oxide 152 from the chamber surface 150. This long cleaning time is undesirable. One reason the cleaning time is so long is the gas flushes performed in operations 103 and 109. These gas flushes are used to avoid unwanted reactions between the H2/CH4 and the O2. Another reason the cleaning time is so long is because the buildup of carbon polymer 154 limits the amount of tin oxide 152 that can be removed during each cycle.

[0030] FIG. 2A is a flowchart describing an improved method for cleaning tin oxide from an interior surface of a processing chamber. The method of FIG. 2A is described with reference to FIG. 2B, which shows chamber surface 250 coated with tin oxide 252 over the course of cleaning. As described above, in many cases the tin oxide 252 has a thickness of about 2 pm on one or more chamber surface 250 before it is removed. The chamber surface may be aluminum (e.g., elemental aluminum), aluminum oxide (e.g., AIO3), and/or a ceramic material. In various embodiments, the tin oxide may have a thickness of at least about 1 pm, at least about 2 pm, at least about 3 pm, at least about 4 pm, or at least about 5 pm before it is removed. In these or other embodiments, the tin oxide 252 may have a thickness of about 5 pm or less, for example about 4 pm or less, about 3 pm or less, or about 2.5 pm or less before it is removed. In various embodiments, the tin oxide accumulation/thickness is measured based on the cumulative thickness of tin oxide deposited on substrates in a particular processing chamber (e.g., rather than the thickness of tin oxide on a particular chamber surface). This cumulative thickness is measured from the time at which the processing chamber was most recently cleaned (e.g., to produce bare chamber surfaces). As such, in certain implementations the tin oxide thicknesses listed above may relate to the cumulative thickness of tin oxide deposited on substrates between chamber cleans.

[0031] The method of FIG. 2A begins with operation 201, where the chamber surface 250 with tin oxide 252 thereon is exposed to a first plasma generated from a first plasma generation gas that includes a first reducing chemistry and one or more optional inert gas. Example reducing chemistry can include one or more species from the group consisting of elemental hydrogen (Eh), hydrogen with or without a suitable catalyst such as a Lindlar catalyst, ammonia (NEb), diborane (EhEk), sulfur dioxide (SCh), sulfites (e.g., species containing S0 2 3), iodides (e.g., species including G, one example of which is KI), hydrazine (N2H4), diisobutylaluminum hydride ((i- BU 2 A1H) 2 ), formic acid (HCOOH), phosphites (e.g., species including (HPO3) 2 or (EhPCb) ), hypophosphites (e.g., species including (EhPCh) ), phosphorus acid (H3PO3), carbon monoxide (CO), and cyanides (e.g., species including CN or -CN). Example inert gases can include one or more species from the group consisting of helium (He), neon (Ne), argon (Ar), xenon (Xe), and elemental nitrogen (N 2 ). Notably, in many cases the first plasma is free of methane (CH4) and other carbon-containing species, contrary to the cleaning method of FIG. 1A. However in some cases, a carbon-containing species may be included in the reducing chemistry, with particular examples listed above including, but not limited to, diisobutylaluminum hydride ((i-Bu 2 AlH) 2 ), formic acid (HCOOH), carbon monoxide (CO), and cyanides (e.g., species including CN or -CN). The first plasma operates to reduce the tin oxide 252 to form tin powder 253, as shown in FIG. 2B. Stannane (SnH4) may also be formed from the tin oxide 252. The S11H4 is relatively unstable and is typically converted to tin powder 253 or otherwise removed from the chamber surface 250 (e.g., in vapor form). Most or all of the tin powder 253 remains on the chamber surface 250 while the chamber surface 250 is exposed to the first plasma. In certain embodiments, the first plasma is generated from both reducing chemistry and an inert gas, as mentioned above. The inclusion of inert gas in the first plasma in operation 201 substantially increases the rate at which the tin oxide is reduced to tin powder, thereby minimizing the cleaning time. Further, the inclusion of inert gas in the first plasma reduces or eliminates temperature effects that otherwise result in non-uniform removal rates on chamber surfaces at different temperatures. These advantages are discussed further below.

[0032] Next, at operation 203, the chamber surface is exposed to a second plasma generated from a second plasma generation gas including both a second reducing chemistry and an organic additive chemistry, thereby removing the tin powder 253 from the chamber surface 250. The reducing chemistry may include one or more species from the group consisting of ammonia (NH3) and hydrogen (H 2 ). The reducing chemistry in the second plasma generation gas may be the same or different from the reducing chemistry in the first plasma generation gas. In various embodiments, the organic additive chemistry may include at least one organic species selected from the group consisting of an alkane, an alcohol, a carboxylic acid, and an acetate. In a number of cases, the organic additive chemistry includes an organic species having a relatively short chain of carbon atoms. In many embodiments, the organic additive chemistry may have a chain of about 1 to about 6 carbon atoms. The chain may be branched or straight. In various cases, the alkane may have a formula of C n H2n+2. Example alkanes include, but are not limited to, methane (CEE), ethane (C2H6), propane (C3H8), butane (C4H10), pentane (C5H12), hexane (C6H14), etc. The alcohol may have a formula of R-OH. Example alcohols include, but are not limited to, methanol (CH3OH), ethanol (C2H5OH), propanol (C3H7OH), butanol (C4H9OH), pentanol (C5H11OH), hexanol (C6H13OH), etc. In some cases, a diol, triol, etc. may be used. The carboxylic acid may have a formula of R-COOH. Example carboxylic acids include, but are not limited to, methanoic acid (HCOOH), ethanoic acid (CH3COOH), propanoic acid (CH3CH2COOH), butanoic acid (CH3(CH2)2COOH), pentanoic acid (CFE(CF[2)3CC)C)F[), hexanoic acid (CEEiOEh^COOEI), etc. In some cases where a carboxylic acid is used, the organic additive chemistry may include a dicarboxylic acid, a tricarboxylic acid, etc. The acetate may include an acetate anion (CFBCO2 ). The acetate may be provided as an acetate salt or an acetate ester in some embodiments.

[0033] At this point, the chamber surface 250 is clean, as shown in FIG. 2B.

[0034] The method of FIG. 2A has several advantages over the method of FIG. 1A. For example, because the first plasma in operation 201 is substantially free of CEE (and other carbon- containing species), no layer of carbon polymer is formed. Because the carbon polymer is not present, it is not able to limit the removal of tin oxide as explained in relation to FIGS. 1 A and IB. As such, there is no need for a cyclic process that gradually removes the tin oxide portion-by portion. Instead, the entire layer of tin oxide can be converted to tin powder during a single exposure to the first plasma in operation 201.

[0035] A related advantage of the method of FIG. 2A is that there is no need to expose the chamber surface to O2 plasma. Because the carbon polymer does not form, there is no need to remove it with O2 plasma. As such, the O2 plasma step can be completely eliminated.

[0036] Another advantage of the method of FIG. 2A is that there is no need to perform any gas flushes, as there is no risk of unwanted reactions between the different chemistries. By contrast, in the method of FIG. 1A, two gas flushes are needed during each cycle (e.g., operations 103 and 109) in order to prevent unwanted reactions between the FE/CFE chemistry and the O2 chemistry. Eliminating the gas flushes allows the cleaning process to occur more quickly, as there is no need to wait for the relevant chemistry to be flushed out of the chamber and the delivery lines feeding the chamber.

[0037] The method of FIG. 2A can be used to substantially reduce the time required to clean the tin oxide from the chamber surfaces, as compared to the method of FIG. 1 A. As mentioned above, the method of FIG. 1A typically requires about 17 hours to remove about 2 pm accumulation of tin oxide. In other words, the removal rate is limited to about 0.12 pm/hr, which is equivalent to about 2 nm/min. By contrast, when the method of FIG. 2A is used (e.g., without the optional inert gas), the same amount of tin oxide is removed in about half the time, for example about 8 hours. In this case, the removal rate is increased to about 0.25 pm/hr, which is equivalent to about 4 nm/min or about 40 A/min. Further, when inert gas is added to the first plasma in operation 201, the duration of operation 201 can be further reduced by a factor of about 6. As such, when inert gas is added to the first plasma in operation 201, tin oxide having an accumulation/thickness of about 2 pm can be removed in about 2 hours. This includes both the time it takes to convert the tin oxide to tin powder in operation 201 and the time it takes to remove the tin powder in operation 203. This provides a tin oxide removal rate of about 1.0 pm/hr, which is equivalent to about 16 nm/min or about 160 A/min. This high removal rate is not achievable using conventional cleaning methods. Further experimental results are presented below. In various embodiments, the tin oxide removal rate (including both operations 201 and 203) may be at least about 50 A/min, for example at least about 100 A/min, or at least about 120 A/min, or at least about 150 A/min.

[0038] One or more processing condition may be controlled in accordance with the example processing conditions provided herein. With reference to the method of FIG. 2A, the plasma generation conditions may be controlled during each of operations 201 and 203. Example conditions include the plasma generation frequency (or frequencies), plasma generation power at each frequency, plasma duty cycle, and the flow rate and composition of processing gas (or gases). In addition, the pressure within the processing chamber, as well as the temperature of various components/surfaces in the processing chamber, may be controlled.

[0039] During the first plasma step in operation 201, the first plasma may be generated at a frequency of about 400 kHz to about 40 MHz, using an RF power of about 400W to about 4,000W. [0040] During operation 201, the first plasma is generated from a first plasma generation gas that includes at least reducing chemistry such as ¾ and/or MB. The first plasma generation gas may also include one or more inert gas to further increase the rate at which the tin oxide is converted to tin powder. The flow rate of reducing chemistry during operation 201 may be about 400 seem to about 20,000 seem, for example about 2,000 seem to about 8,000 seem. Where inert gas is provided during operation 201, it may have a flow rate of about 100 seem to about 20,000 seem, for example about 2,000 seem to about 8,000 seem.

[0041] Controlling the individual flow rates of the reducing chemistry and optional inert gas allows for control of the composition of the first plasma generation gas during operation 201. In one embodiment, the first plasma generation gas is free or substantially free of inert gas during operation 201. In such embodiments, the first plasma generation gas may be pure ¾, pure MB, or a combination of only ¾ and MB. In other embodiments, the first plasma generation gas includes one or more inert gas in addition to the reducing chemistry. In such cases, the first plasma generation gas may have a composition is at least about 5% inert gas, for example at least about 10%, or at least about 25%. In these or other embodiments, the first plasma generation gas may have a composition that is about 99% or less inert gas, for example about 95% or less, about 90% or less, about 75% or less, about 50% or less, about 25% or less, or about 10% or less. For instance, a first plasma generation gas that includes Eh provided at 100 seem and inert gas provided at 200 seem is understood to be about 67% inert gas. The recited minimum and maximum concentrations of inert gas in the first plasma generation gas for operation 201 may be combined as desired for a particular embodiment. For example, in some embodiments the first plasma generation gas may be about 5% to about 50% inert gas. In various embodiments, the first plasma generation gas in operation 201 includes only the reducing chemistry and the optional inert gas. For instance, a first plasma generation gas having a composition of at least about 10% inert gas may also include about 90% or less reducing chemistry. In some other embodiments, one or more species in addition to the reducing chemistry and optional inert gas may be provided in the first plasma generation gas. [0042] The pressure in the processing chamber may be controlled to about 0.5 Torr to about 10 Torr during operation 201. Reaction products other than the tin powder (e.g., gas phase reaction products) may be removed from the processing chamber using a vacuum connection. During cleaning, one or more components in the processing chamber (and/or components forming the processing chamber itself) may be temperature-controlled, e.g., using one or more heating and/or cooling components to achieve a desired temperature at a desired location. Example components that may be temperature controlled include, but are not limited to, the chamber walls, chamber floor, chamber ceiling, substrate support, showerhead, etc. In some cases, the temperature of one or more component may be controlled, for example to raise its temperature and/or to reduce a temperature differential between different portions of (and/or components within) the processing chamber. This temperature control may promote a more uniform rate of tin oxide removal, especially in cases where no inert gas is provided during operation 201. In other embodiments, the temperature of the components is not actively controlled during cleaning. In various embodiments, the processing chamber and/or one or more components therein may have a temperature of about 25°C to about 430°C during operation 201. Temperature effects are further discussed below in the Experimental section.

[0043] In some embodiments, the chamber surface being cleaned may be exposed to the first plasma in operation 201 for a duration of about 10 min to about 300 min. As explained above, inclusion of inert gas during operation 201 results in a substantially higher conversion rate of tin oxide to tin powder, thereby enabling shorter cleaning periods. Generally, thicker tin oxide deposits will take longer to convert to tin powder.

[0044] Various processing conditions can be controlled in a similar manner during operation 203 while the chamber surfaces are exposed to the second plasma. For instance, the second plasma may be generated at a frequency of about 400 kHz to about 40 MHz, using an RF power of about 400W to about 4,000 W.

[0045] During operation 203, the second plasma is generated from a second plasma generation gas that includes at least reducing chemistry and additive chemistry. Example chemistries are provided above. The second plasma generation gas in operation 203 has a different composition than the first plasma generation gas in operation 201, at least because the organic additive chemistry (and all other carbon-containing species) is omitted in the first plasma generation gas of operation 201 but included in the second plasma generation gas of operation 203. The second plasma generation gas may also include one or more inert gas. The flow rate of the reducing chemistry during operation 203 may be of about 400 seem to about 20,000 seem, for example about 2,000 seem to about 8,000 seem. The flow rate of the organic additive chemistry during operation 203 may be about 10 seem to about 10,000 seem, for example about 400 seem to about 6,000 seem. Where inert gas is provided during operation 203, it may have a flow rate about 10 seem to about 40,000 seem, for example about 4,000 seem to about 10,000 seem.

[0046] Controlling the individual flow rates and identities of the reducing chemistry, the organic additive chemistry, and optional inert gas allows for control of the composition of the second plasma generation gas during operation 203. In one embodiment, the second plasma generation gas is free or substantially free of inert gas during operation 203. In some such embodiments, the second plasma generation gas may be entirely H2/CH4 (or another combination of the reducing chemistries and organic additive chemistries described herein). The ratio of reducing chemistry: organic additive chemistry (e.g., the ratio of H2:CH4 in one embodiment) in the plasma generation gas (as measured in seem) may be controlled. For instance, a second plasma generation gas including H2 at 200 seem and CH4 at 100 seem has an H2:CH4 ratio of 2: 1, which can be recited more simply as 2. In various embodiments, the ratio of reducing chemistry:organic additive chemistry in the second plasma generation gas may be controlled to about 0.01 to aboutlOO (e.g., about 1 : 100 to about 100: 1), for example about 0.1 to about 50 (e.g., about 1 : 10 to about 50: 1), or about 0.5 to about 20 (e.g., about 1:2 to about 20:1). Likewise, where inert gas is present in the second plasma generation gas during operation 203, the ratio of reducing gas:inert gas (e.g., H2:inert gas in some embodiments) may be about 0.1 to about 10 (e.g., about 1:10 to about 10:1), and the ratio of organic additive chemistry: inert gas (e.g., CH4: inert gas in some embodiments) may be about 0.02 to about 10 (e.g., about 1:50 to about 10:1).

[0047] In some embodiments, the chamber surface being cleaned may be exposed to the second plasma in operation 203 for a duration about 2 min to about 240 min. Generally, thicker tin oxide deposits will result in thicker layers of tin powder, which take relatively longer to remove. [0048] During operation 203, the pressure within the processing chamber may be controlled as described above in relation to operation 201. In many cases, operations 201 and 203 occur at substantially the same pressure. However, in some cases, operation 203 may be performed at a pressure that is lower or higher than the pressure at which operation 201 is performed. Similarly, the temperature of the processing chamber (and/or one or more components therein) may be controlled during operation 203 in a similar manner as described in relation to operation 201. In some cases, the temperature of the processing chamber and the components therein is not actively controlled during either of operations 201 or 203. In other cases, the temperature of the processing chamber and/or one or more components therein may be controlled during at least one of operations 201 and 203. In some cases, temperature control is substantially the same in operations 201 and 203. In other cases, the temperature of one or more component may be controlled at a lower temperature during operation 201 and a higher temperature during operation 203, or vice versa.

APPARATUS

[0049] The embodiments herein can be practiced on any suitable apparatus. A suitable apparatus typically includes at least one processing chamber in which semiconductor substrates are processed. Further, a suitable apparatus is equipped with or otherwise coupled to a plasma generator configured to provide plasma in the processing chamber. In many cases, the apparatus is used for depositing tin oxide on semiconductor substrates, though the embodiments are not so limited. Generally, the techniques herein can be used to remove tin oxide from any type of processing chamber, as long as appropriate chemistry/plasma can be provided.

[0050] FIG. 3 schematically shows an embodiment of a process station 300 that may be used to deposit tin oxide and other materials using atomic layer deposition (ALD) and/or chemical vapor deposition (CVD), either of which may be plasma enhanced. For simplicity, the process station 300 is depicted as a standalone process station having a process chamber body 302 for maintaining a low-pressure environment. However, it will be appreciated that a plurality of process stations 300 may be included in a common process tool environment, as described in relation to FIGS. 4 and 5. Further, it will be appreciated that, in some embodiments, one or more hardware parameters of process station 300, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers.

[0051] Process station 300 fluidly communicates with reactant delivery system 301 for delivering process gases to a distribution showerhead 306. Reactant delivery system 301 includes a mixing vessel 304 for blending and/or conditioning process gases for delivery to showerhead 306. One or more mixing vessel inlet valves 320 may control introduction of process gases to mixing vessel 304. Similarly, a showerhead inlet valve 305 may control introduction of process gasses to the showerhead 306. In some embodiments, the reactant delivery system may be modified to ensure that reactants do not mix before entering the process chamber body 302.

[0052] Some reactants may be stored in liquid form prior to vaporization at and subsequent delivery to the process station. For example, the embodiment of FIG. 3 includes a vaporization point 303 for vaporizing liquid reactant to be supplied to mixing vessel 304. In some embodiments, vaporization point 303 may be a heated vaporizer. The reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve sweeping and/or evacuating the delivery piping to remove residual reactant. However, sweeping the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 303 may be heat traced. In some examples, mixing vessel 304 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 303 has an increasing temperature profile extending from approximately 100°C to approximately 150°C at mixing vessel 304.

[0053] In some embodiments, reactant liquid may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one scenario, a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure. In another scenario, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 303. In one scenario, a liquid inj ector may be mounted directly to mixing vessel 304. In another scenario, a liquid injector may be mounted directly to showerhead 306.

[0054] In some embodiments, a liquid flow controller upstream of vaporization point 303 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 300. For example, the liquid flow controller (LFC) may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.

[0055] Showerhead 306 distributes process gases toward substrate 312. In the embodiment shown in FIG. 3, substrate 312 is located beneath showerhead 306, and is shown resting on a pedestal 308. It will be appreciated that showerhead 306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 312. Showerhead 306 and associated reactant delivery system 301 also distributes process gases for cleaning surfaces of the process chamber body 302, for example using the techniques described herein to remove tin oxide.

[0056] In some embodiments, a microvolume 307 is located beneath showerhead 306. Performing an ALD and/or CVD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes of about 0.1 liter to about 2 liters. This microvolume also impacts productivity throughput. While deposition rate per cycle drops, the cycle time also simultaneously reduces. In certain cases, the effect of the latter is dramatic enough to improve overall throughput of the module for a given target thickness of film.

[0057] In some embodiments, pedestal 308 may be raised or lowered to expose substrate 312 and/or a relevant processing chemistry to microvolume 307 and/or to vary a volume of microvolume 307. For example, in a substrate transfer phase, pedestal 308 may be lowered to allow substrate 312 to be loaded onto pedestal 308. During a deposition process phase, pedestal 308 may be raised to position substrate 312 within microvolume 307. In some embodiments, microvolume 307 may completely enclose substrate 312 as well as a portion of pedestal 308 to create a region of high flow impedance during a deposition process.

[0058] Optionally, pedestal 308 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc., within microvolume 307. Similar adjustments may be made during a cleaning process. In one scenario where process chamber body 302 remains at a base pressure during processing, lowering pedestal 308 may allow microvolume 307 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios of about 1 : 300 to about 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.

[0059] In another scenario, adjusting a height of pedestal 308 may allow a plasma density to be varied during plasma activation and/or treatment cycles included in the deposition or cleaning process. At the conclusion of the deposition process phase, pedestal 308 may be lowered during another substrate transfer phase to allow removal of substrate 312 from pedestal 308. Cleaning typically takes place while no substrate is in the process chamber body 302.

[0060] While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 306 may be adjusted relative to pedestal 308 to vary a volume of microvolume 307. Further, it will be appreciated that a vertical position of pedestal 308 and/or showerhead 306 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 308 may include a rotational axis for rotating an orientation of substrate 312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.

[0061] Returning to the embodiment shown in FIG. 3, showerhead 306 and pedestal 308 electrically communicate with RF power supply 314 and matching network 316 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 314 and matching network 316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 314 may provide RF power of any suitable frequency. In some embodiments, RF power supply 314 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies of about 50 kHz to about 300 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies of about 1.8 MHz to about 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the desired reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment on relevant surfaces relative to continuously powered plasmas.

[0062] In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.

[0063] In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe and/or a cleaning process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a particular process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. A third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.

[0064] In some plasma processes, plasma strikes last on the order of a few seconds or more in duration. In certain implementations, much shorter plasma strikes may be used (e.g., these may be particularly useful during deposition on substrates). These may be on the order of 10 ms to 1 second, typically, about 20 to 80 ms, with 50 ms being a specific example. Such very short RF plasma strikes require extremely quick stabilization of the plasma. To accomplish this, the plasma generator may be configured such that the impedance match is set preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value that is different from this standard value. By permitting the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can stabilize much more quickly, a result which may be important when using the very short plasma strikes associated with some types of deposition cycles.

[0065] In some embodiments, pedestal 308 may be temperature controlled via heater 310. Further, in some embodiments, pressure control for deposition process station 300 may be provided by butterfly valve 318. As shown in the embodiment of FIG. 3, butterfly valve 318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 300 may also be adjusted by varying a flow rate of one or more gases introduced to process station 300. Suitable controllers are discussed further below. [0066] It may be appreciated that a plurality of process stations may be included in a multi station processing tool environment, such as shown in FIG. 4, which depicts a schematic view of an embodiment of a multi-station processing tool. Processing apparatus 400 employs an integrated circuit fabrication chamber 463 that includes multiple fabrication process stations, each of which may be used to perform processing operations on a substrate held in a wafer holder, such as a pedestal, at a particular process station. In the embodiment of FIG. 4, the integrated circuit fabrication chamber 463 is shown having four process stations 451, 452, 453, and 454. Other similar multi-station processing apparatuses may have more or fewer process stations depending on the implementation and, for example, a desired level of parallel wafer processing, size/space constraints, cost constraints, etc. Also shown in FIG. 4 is substrate handler robot 475, which may operate under the control of system controller 490, configured to move substrates from a wafer cassette (not shown in FIG. 4) from loading port 480 and into integrated circuit fabrication chamber 463, and onto one of process stations 451, 452, 453, and 454.

[0067] FIG. 4 also depicts an embodiment of a system controller 490 employed to control process conditions and hardware states of processing apparatus 400. System controller 490 may include one or more memory devices, one or more mass storage devices, and one or more processors, as described herein.

[0068] RF subsystem 495 may generate and convey RF power to integrated circuit fabrication chamber 463 via radio frequency input ports 467. In particular embodiments, integrated circuit fabrication chamber 463 may comprise input ports in addition to radio frequency input ports 467 (additional input ports not shown in FIG. 4). Accordingly, integrated circuit fabrication chamber 463 may utilize 8 RF input ports. In particular embodiments, process stations 451-454 of integrated circuit fabrication chamber 165 may each utilize first and second input ports in which a first input port may convey a signal having a first frequency and in which a second input port may convey a signal having a second frequency. Use of dual frequencies may bring about enhanced plasma characteristics.

[0069] As described above, one or more process stations may be included in a multi-station processing tool. FIG. 5 shows a schematic view of an embodiment of a multi-station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may comprise a remote plasma source. A robot 506, at atmospheric pressure, is configured to move substrates or wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port 510. A substrate is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port 510 is closed, and the load lock is pumped down. Where the inbound load lock 502 comprises a remote plasma source, the substrate may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 514. Further, the substrate also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 516 to processing chamber 514 is opened, and another robot (not shown) places the substrate into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a substrate into a process station may be provided. In various embodiments, the soak gas is introduced to the station when the substrate is placed by the robot 506 on the pedestal 512.

[0070] The depicted processing chamber 514 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 5. Each station has a heated pedestal (shown at 518 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD and PEALD process mode. Additionally or alternatively, in some embodiments, processing chamber 514 may include one or more matched pairs of ALD and plasma-enhanced ALD process stations. While the depicted processing chamber 514 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.

[0071] FIG. 5 depicts an embodiment of a wafer handling system 590 for transferring substrates within processing chamber 514. In some embodiments, wafer handling system 590 may transfer substrates between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. In some embodiments, system controller 550 includes machine-readable instructions for performing operations such as those described herein.

[0072] In some embodiments, system controller 550 controls the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the system controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 558 may include instructions for controlling the timing, mixture of gases, amount of gas flow, chamber and/or station pressure, chamber and/or station temperature, substrate temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.

[0073] In some implementations, the system controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 550, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0074] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0075] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[0076] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0077] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. EXPERIMENTAL

[0078] The techniques described herein can be used to substantially reduce the time required to remove tin oxide from interior surfaces of a processing chamber. FIGS. 6A-6D depict surfaces (e.g., metal surfaces analogous to the interior surfaces of a processing chamber) that were coated in tin oxide and cleaned according to different techniques described herein. FIG. 6A depicts a surface cleaned using plasma generated from H2/CH4, as described in the method of FIG. 1 A. FIG. 6 A shows the surface after a single exposure to the H2/CH4 plasma, and before exposure to the O2 plasma. The total cleaning time was about 50 minutes. In this example, the surface includes a substantial amount of unreacted tin oxide coated with a layer of carbon polymer. In order to make further progress cleaning the surface, the surface can be exposed to an oxygen plasma to remove the carbon polymer, as described in FIG. 1A.

[0079] FIG. 6B depicts a surface cleaned by exposure to pure Eh plasma. This illustrates the surface between operations 201 and 203 in the method of FIG. 2A. In this example, the surface was cleaned for a duration of about 10 minutes. FIG. 6B shows that the tin oxide has been converted to tin powder (e.g., the large circles illustrate the tin powder). Because a substantial amount of tin powder remains on the surface, the Fb plasma step is not itself sufficient to produce a clean surface. Additional experimental results (not shown) confirm that even when the cleaning time is substantially extended, for example to about 70 minutes, the tin powder still remains on the surface.

[0080] FIG. 6C shows a surface cleaned by exposure to plasma generated from a plasma generation gas including 5% CEE and 95% Fb. This example is similar to the one shown in FIG. 6A, except that the plasma generation gas used for FIG. 6C had a lower content of CFb compared to the plasma generation gas used for FIG. 6A. In the FIG. 6C example, there is substantial carbon polymer remaining on the surface after cleaning. The cleaning duration in FIG. 6C was about 70 minutes. These results confirm that the tin oxide is not successfully removed using a single plasma step, even when the plasma includes relatively low carbon content.

[0081] FIG. 6D shows a surface cleaned by exposure to a first plasma generated from Fb followed by exposure to a second plasma generated from Fb/CFb, as described in the method of FIG. 2A. The surface was exposed to the first plasma for a duration of about 10 minutes, and was exposed to the second plasma for a duration of about 40 minutes, for a total cleaning duration of about 50 minutes. The results in FIG. 6D illustrate a clean surface, without any tin oxide or tin powder remaining.

[0082] FIG. 7 depicts experimental results showing the conversion rate of tin oxide to tin powder at different temperatures. With reference to the method of FIG. 2A, this is the conversion rate achieved during operation 201 while the substrate is exposed to first plasma (e.g., an Fb plasma in this example). In cases where the first plasma is pure reducing chemistry, there is a substantial difference in conversion rate at different temperatures, with a lower conversion rate at lower temperature and a higher conversion rate at higher temperature. For instance, as shown in FIG. 7, the conversion rate at 85°C is about 67 A/min and the conversion rate at 120°C is about 338 A/min. This is a substantial difference, and may lead to non-uniform conversion rates at different portions of the processing chamber that are at different temperatures. Chamber surfaces are often at non- uniform temperatures during cleaning, with some portions of the chamber at lower temperatures and other portions of the chamber at higher temperatures.

[0083] As described above, one way to reduce the effect of temperature on the conversion rate is to include inert gas in the first plasma in operation 201. As shown in FIG. 7, when the first plasma includes inert gas (e.g., in this case the inert gas was helium), the conversion rate increases to about 400 A/min at both 85°C and 120°C. As such, the inclusion of inert gas in the first plasma promotes both a higher rate of conversion and a more uniform rate of conversion across different temperatures, as compared to pure reducing chemistry plasma (e.g., pure Fh plasma). This allows for faster, more uniform cleaning results compared to cases where pure reducing chemistry plasma is used. Both options (e.g., pure reducing chemistry and reducing chemistry/inert gas) are considered to be within the scope of the disclosed embodiments.

[0084] In various embodiments, the conversion rate from tin oxide to tin powder is at least about 60 A/min, for example at least about 100 A/min, at least about 200 A/min, at least about 300 A/min, or at least about 400 A/min. In these or other embodiments, the conversion rate may be substantially uniform at different portions of the chamber surface. For instance, the conversion rate may vary by no more than about 10% (in some cases no more than about 5% or no more than about 1%) at different portions of the chamber surface.

CONCLUSION

[0085] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.